Tegal 901e Tegal 903e Etcher Plasma Etch Plasma Etcher Dry Etch

  • Price: 175,000.00 USD
  • Avaibility: 4 available
  • Condition: Seller refurbished
  • Item ships from: Morgan Hill, California
  • Brand/Model: Tegal Tegal 90xe
  • Sold by: semistarcorp · This seller has received 389 ratings (100.00% Positive)
  • Read: Payment, shipping, and returns policy

Buy now for $175,000.00 USD

 

More from TEGAL: Cleanroom Components, Linear Actuators, Mass Flow Controllers, Other Automation Equipment, Other CNC, Metalworking & Manufacturing, Other Electrical Equipment & Supplies, Other Process Engineering Equipment, Other Semiconductor & PCB Manufacturing, Other Sensors, Other Signal Sources & Conditioning, Other Test, Measurement & Inspection, Other Vacuum Pumps, Other Valves & Manifolds, PCB Mounting Cards, PLC Processors, PLC Temperature Modules, Signal Generators, Switching Mode Power Supplies, Transistors, Wafer Processing Components

Browse all: Other Semiconductor & PCB Manufacturing

Have one or more "Tegal 901e Tegal 903e Etcher Plasma Etch Plasma Etcher Dry Etch " for sale? Sell it on Whohou Marketplace.

We buy "Tegal 901e Tegal 903e Etcher Plasma Etch Plasma Etcher Dry Etch " in bulk for the best prices. Click here to get a free valuation.


Similar Items

Upgrade Kits for Tegal 901e Tegal 903e Plasma Etch Plasma Etcher Dry Etch
Tegal 901e Etcher Plasma Etch Plasma Etcher Dry Etch
Tegal 901e Etcher Plasma Etch Plasma Etcher Dry Etch
Pin For TEGAL 901E 903E plasma etcher
Pin For TEGAL 901E 903E plasma etcher
Pin For TEGAL 901E 903E plasma etcher
Tegal 901e Plasma Etcher #2
80-095-278RW PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DT-6-3-001
80-095-278 PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DT-4-9-001
Wires Cables For Tegal 901e 903e Plasma Etcher Etching DQ-2-018
Wires Cables For Tegal 901e 903e Plasma Etcher Etching DQ-2-019
Wires Cables For Tegal 901e 903e Plasma Etcher Etching DQ-2-017
80-095-278 PCB For Tegal 901e 903e Plasma Etcher Etching
80-095-278 A PCB For Tegal 901e 903e Plasma Etcher Etching
99-173-008 C PCB For Profacture Tegal 901e 903e Plasma Etcher Etching
99-126-005 H IGC-5 PCB For Tegal 901e 903e Plasma Etcher Etching
99-126-003 C IGC-3 PCB For Tegal 901e 903e Plasma Etcher Etching
99-126-006 G IGC-6PCB For Tegal 901e 903e Plasma Etcher Etching
99-126-006 F IGC-6PCB For Tegal 901e 903e Plasma Etcher Etching
Endpoint Detector CD1151-00102 For Tegal 901e 903e Plasma Etcher Etching

More from semistarcorp

activate whohou store embed ebay listings into website
Perkin Elmer Ultek High Throughput Ion Pump 221-377-800 (202282970797)
Tegal 901e Tegal 903e Monitor , Used (202287306876)
126-310-010 Multipin Electrical Feedthrough Perkin-Elmer (202283064702)
EQUIPE,PRI,BROOKS PRE-200 200mm ALIGNER BELT, Replacement Of DFACT 112 DA7-2-010 (204504836801)
ULN2065B , ID-D-7-5-6-1 , Tegal? Chips Components (204102648485)
Equipe Technologies Brooks PRI Robot PCB 71300301 Rev E CPU 2002-0088 (203878981805)
Advanced Motion For Pre-aligner Ver.2 12403-0040 PC3XC-PM1 AWR-039-CC-1-004 (202963857000)
Brooks PRI Equipe Technologies 2-08-1004 Layer 1 PN 2002-0004 ? AWR-039-CC-1-005 (202963859707)
Gail Motion Control DMC-8240 For Equipe/ PRI AWR-039-CC-1-002 (202963842943)
Gail Motion Control DMC-8230 For Equipe/ PRI AWR-039-CC-1-001 (202963841074)
Cassette Station For LAM Research Lam Autoetch 590 490 690 790 Plasma Etcher (204769319292)
Cassette Station For LAM Research Lam Autoetch 590 490 690 790 Plasma Etcher (204769308768)
Cassette Station For LAM Research Lam Autoetch 590 490 690 790 Plasma Etcher (204769312722)
AG Associates 7310-3575-01A Quartz Door Inlay Short, Double O Ring (202284555030)
Quartz Boat, 4 Inch , 25 Slots, For Furnace, Asher , Etcher Semiconductor (204682662089)
Card Cage For MPT RTP-600s Rapid Thermal Process Modular Process Technology (203624334902)
2 Inch Wafer, Material Sapphire? GaN? Sapphire With GaN Epi? (202297924208)
MID400 , ID-D-7-5-1-10 ,Chips , Components (204108876229)
A 6N138 0015 RJ , A6N1380015RJ, ID-D-7-5-3-10 , chips Components (204102694929)
74HC11 , MC74HC11N, ID-D-7-5-1-8 , Chips , Components (204108881827)
AG Associates Metron Technology 2109-0140 Adaptor / Connector? AWM-F-4–2-006 (203021673469)
MC6821P, MC68B21P, MC6822P, ID-D-7-6-3-7 , Tegal? Chips Components (204102560477)
White VMO- O-RIng-2504-0015-02 for AG Associates Heatpulse 8108 (203164907716)
SPTS INC Quartz Discs PN 110865 For STS ICP (202439345121)
MM74HC154N , MC74HC154N , ID-D-7-5-4-9 ,chips Components (204102682695)
D2617A S M2564B 850701-851A 852A 850A 857A 856A 853A 802A 809C New ID-D-7-6-6-5 (204096298789)
SEEQ DQ2764-30 , ID-D-7-6-3-10 , Tegal? Chips Components (204102545969)
MBM2764-30 , 2764-25JL, MBM27C64-20!, ID-D-7-6-3-9, Tegal? Chips Components (204102557071)
1350EFA6LFT1A Brooks Instrument , Emerson Electric Co. B SHO-RATE Flow Meter (203924015833)
Metal Cassette 4 Inch (202753534270)
6 Inch Teflon PFA FLUOROWARE AI82-60MU A182-60MU ? PFA Wafer Cassette (202748406476)
Wafer Holder For Sputter / Evaporator Equipment DA7-2-2-001 (202972949453)
WS2107FL-7 Boxer Fan Volts 115/230 A.C AMPS .24/.12 50/60 HzImpedance Protected (202311713041)
Valve With NUPRO SS-4BK V13-1C For ASM PECVD Advanced Semiconductor Materials (204699608932)
Metal Carrier 1mm Thickness Total ,300um About Depth 4 Inch Wafer Carrier (202753553290)
EETOOLS TOPMAX TM-A48 Universal Programmer (204119238037)
Silicon Carbide Boat , SiC Boat, CVD 795084-1 ,For 6 Inch, Furnace Paddle, New (203959502351)
PN 7310-2841-02N Quartz Tube for AG Associates Heatpulse 8800 8108 4108 (202288128749)
SensArray Corporation ProcessProbe Instrumented Wafers 1840A-8-5005 (202300256066)
7100-0874-05 Associates Heatpulse RTP ERP Pyrometer (202299546971)
PN: 2451-0013-01 QIR208-1500SS IR Lamps For AG Associates Heatpulse 4108 8108 (202304535537)
MC1458CP1 For TEGAL 901E 903E (203137010861)
Grobet Model 3.5 QUART (202452453849)
SST Tube Gas Line With Fittings-9 (202311683009)
CD40103BE, ID-D-7-6-2-9, Tegal? Chips Components (204102572023)
CD40106BE , ID-D-7-6-2-8,Tegal? Chips Components (204102573503)
Quartz Boat 6 inch (202290405074)
2100-0120 MIO-24 AG Associates Heatpulse PCB (202295880044)
99-181-002 REV L EPD-2 Tegal PCB ENDPOINT DETECTOR (202283871997)
Tegal 901e Tegal 903e PCB 80-095-278 Rev A DAC 2 (204726406589)
Leybold Pump Control Box 16x12x9 Inch (202310060371)
Rectanglar Conflat Adaptor-6 to 2.75 (202283062614)
Cables For Branson IPC B3003 (203218108518)
TAC-386-kc OMEGA TC Box For MPT RTP-600s Modular Process Technology (203624341300)
TL084 Quad FET-Input Op-Amp Surplus spares parts in stock (203709403387)
ST 001 Printer Paper P/N#2920-000008 (202754946840)
GAL16V8 Surplus spares parts in stock (203709394334)
The Barden Corp Barden Precision Bearings 100H 0-9 P31B ID-AWM-F-5-1-005 (203014669059)
2502-0040 For AG Associates Heatpulse (202484556558)
PN 7100-2586-03 AG Associates Heatpulse PCB (202290666670)
PN 2100-0080 AG Associates Heatpulse PCB (202295947618)
Pomona 4243-0 Double Binding Post For 0.063” To 0.250” Panel (202311744649)
DM7407N , ID-D-7-5-4-2 , chips Components (204102692163)
DM74S288N, ID-D-7-5-6-6 , Tegal? Chips Components (204102627566)
6 Inch Sapphire Wafer , AL2O3 ,C Plane w/ Flat, Single Crystal wafer,1.3mm thick (204532272696)
SPTS Inc DT304896 For STS ICP, Screw Vented CSK M4x6mm (202439339391)
7100-1091-01 ( FLUKE 1780A ) FLUKE, MOD (W/O FEET) AG Associates Heatpulse (202300594652)
7400-0136-01 Quartz Baffle For Heatpulse 8108 AG Associates Metron ,Not EZ-DTC (202288101100)
40-215-001 Tegal Spare Part (202949262665)
SPTS Inc PN 112021 RF Connector Spacer For STS ICP (202439463664)
PN: 221-416-750A Perkin Elmer, Ultek Vacuum Parts, Inserts, Nipple Tube (202283066108)
LM385Z-2.5 2.5V Reference Diode 3P To-92 Surplus spares parts in stock (203709430255)
2500-0003-01 For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN (203136711925)
Duniway Stockroom Corp GD-IGH-10-843 Bakeable Ion Gauge Cable For GP 270,271,280 (202447159826)
0992-40375 Electrode Full mesh Groud, for Matrix 103,106,105,205,108, 206 plasma (202765200461)
Inficon IC6000 Controller ID-DW2-4-002 (204136984892)
Winbond W27C512-45 STAnc RAM SRM20256LC 14532A, ID-D-7-5-2–1 ,Chips , Components (204108868677)
M5L27256k , ID-D-7-5-3-5, chips Components (204108798447)
MIL-B-81705C TYPE I Gallium Phosphide Wafer 2 Inch (202288207038)
For Tegal Equipment PN TGL-80-127-183 (202300755753)
For Tegal Equipment PN TGL-80-127-167 (202300755116)
For Tegal Equipment PN TGL-80-165-005 (202300767790)
For Tegal Equipment PN TGL-80-127-350 (202300760191)
For Tegal Equipment PN TGL-80-165-002 (202300765653)
For Tegal Equipment PN TGL-80-165-003 (202300766275)
Branson/IPC 3000C Controller (202462225439)
Brooks Equipe PRI PRE-100 pre-aligners SN PRE-1692 (204548236389)
ST 029 Norgren 11-018-146 REG Max Set 28PSI (202754955497)
PN 7200-0757-01 #21 for AG Associates Heatpulse 8800 8108 (203254743788)
Duniway Stockroom Corp Terranova Model 809 Diaphragm Gauge Controller Terra-809 (202451421054)
PN 7100-5123-02 AG Associates Heatpulse PCB (202291235353)
Emscope SC-650 Series Coater Sputter for SEM, 4 Of 1.5 Inch Cathode (203215096839)
PN TGL-39-689-001 Tegal Spare Parts For Tegal Plasma Etcher (202308037438)
Quartz Tube Quartz Adaptor For Branson/IPC 3000, 2000, 3100, 3001s, 4000 (202940245777)
Brooks Equipe PRI PRE-300 series pre-aligners (204172017846)
teflon fittings swagelok (202498270594)
GENMARK Automation M0352 Robot AWR-029 (202962929094)
MC6821P For Tegal PCB (202784783766)
0992-70051 ELECTRODE, SILVER, POSITIVE for Matrix 103,106,105,205,108, 206 (202771124554)
PN 7100-5177-04 AG Associates Heatpulse PCB (202291645381)
activate whohou store embed ebay listings into website