Edwards High Vacuum Pump E2m40fspx Sn 3804

  • Price: 3,900.00 USD
  • Avaibility: 1 available
  • Condition: Used/good working condition
  • Item ships from: Morgan Hill, California
  • Brand/Model: Edwards
  • Sold by: semistarcorp · This seller has received 388 ratings (100.00% Positive)
  • Read: Payment, shipping, and returns policy

Buy now for $3,900.00 USD

 

Browse all: Other Semiconductor & PCB Manufacturing

Have one or more "Edwards High Vacuum Pump E2M40FSPX SN 3804" for sale? Sell it on Whohou Marketplace.

We buy "Edwards High Vacuum Pump E2M40FSPX SN 3804" in bulk for the best prices. Click here to get a free valuation.


Similar Items

Edwards High Vacuum Pump 40 STAGE VACUUM PUMP
EDWARDS E2M40 2 STAGE HIGH VACUUM PUMP REBUILT HYDRO OIL WITH 12-MONTH WARRANTY
EDWARDS EPX180LE High Vacuum Primary Dry Vacuum Pump 208 VAC
Edwards Multi Stage Dry Vacuum pump Drystar DP 40 +encl
Edwards RV5 Rotary Vane Vacuum Pump
A302-86-905 / EDWARDS EH500A MECHANICAL BOOSTER VACUUM PUMP / EDWARDS
GV400 EH1200 / DRYSTAR VACUUM PUMP W/MECHANICAL BLOWER/BOOSTER / BOC EDWARDS
Edwards DP40 Oil Free Vacuum Pump
A726-01-906 / DRY VACUUM PUMP XDS10 / EDWARDS
NEW Edwards Oil Filter A22304041 for E1M40, E2M40, E1M80, E2M80 Edwards Rotary
E2M18 / HIGH VACUUM PUMP 230V 3PHASE PFPE / EDWARDS
BOC Edwards IGX A50588000 ES602 Dry Vacuum Pump Silencer KG40,unused,US*8659
Stokes Edwards 212J=14 Vacuum Pump
Edwards E21909516 iQDP Power Plug with 3 Foot Cable iQDP40 iQDP80 Used Working
Edwards Dry Vacuum Pump Electrical Power Box
Edwards: Active Gauge: Ion Gauge Controller AIG-E-B2E Pn: D04847000
Edwards IQDP40 Dry Vacuum Pump
QMB250 A301-86-905 EDWARDS EH500A MECHANICAL BOOSTER VACUUM PUMP / EDWARDS
Edwards iQDP40/80 Series 2 Gas Module
Edwards iQDP40 Dry Vacuum Pump w/ QMB250 Booster AS-IS Pumps Down/Gives Errors

More from semistarcorp

activate whohou store embed ebay listings into website
Perkin Elmer Ultek High Throughput Ion Pump 221-377-800 (202282970797)
Tegal 901e Tegal 903e Monitor , Used (202287306876)
126-310-010 Multipin Electrical Feedthrough Perkin-Elmer (202283064702)
EQUIPE,PRI,BROOKS PRE-200 200mm ALIGNER BELT, Replacement Of DFACT 112 DA7-2-010 (204504836801)
Tegal 903e Tegal 901e Ring PN 39-908-001 (202784815812)
2 Inch Wafer, Material Sapphire? GaN? Sapphire With GaN Epi? (202297924208)
MID400 , ID-D-7-5-1-10 ,Chips , Components (204108876229)
A 6N138 0015 RJ , A6N1380015RJ, ID-D-7-5-3-10 , chips Components (204102694929)
Advanced Motion For Pre-aligner Ver.2 12403-0040 PC3XC-PM1 AWR-039-CC-1-004 (202963857000)
Monitor For Matrix 101 102 103 104 105 106!205 206 302 303 403 Asher AWG-1-4-002 (203002207310)
Gail Motion Control DMC-8240 For Equipe/ PRI AWR-039-CC-1-002 (202963842943)
Wafer Holder For Sputter / Evaporator Equipment DA7-2-2-001 (202972949453)
SensArray Corporation ProcessProbe Instrumented Wafers 1840A-8-5005 (202300256066)
STS SPTS ICP RIE PECVD DRIE loadlock to chamber VAT valve (204279113949)
Rectanglar Conflat Adaptor-6 to 2.75 (202283062614)
Wafer Carrier: 8 Inch Metal Al Wafer Carrier For 4 Inch Substrate (203518976618)
VEXTA PH266L-33-A1 Stepping Motor For Tegal 901e Tegal 903e DT-3-9-001 (203055984412)
Cables For Branson IPC B3003 (203218108518)
Leybold Pump Control Box 16x12x9 Inch (202310060371)
Monitor 995-10532 for Matrix 105 Matrix 106 Matrix 303, Matrix 403 (202288294821)
Quartz Boat, 4 Inch , 25 Slots, For Furnace, Asher , Etcher Semiconductor (204682662089)
AG Associates 7310-3575-01A Quartz Door Inlay Short, Double O Ring (202284555030)
Tegal 901e Tegal 903e PCB 80-095-278 Rev A DAC 2 (204726406589)
80-095-278RW PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DT-6-3-001 (203057742714)
80-095-278RW PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DT-6-2-002 (203057740607)
MC6821P, MC68B21P, MC6822P, ID-D-7-6-3-7 , Tegal? Chips Components (204102560477)
White VMO- O-RIng-2504-0015-02 for AG Associates Heatpulse 8108 (203164907716)
1350EFA6LFT1A Brooks Instrument , Emerson Electric Co. B SHO-RATE Flow Meter (203924015833)
MM74HC154N , MC74HC154N , ID-D-7-5-4-9 ,chips Components (204102682695)
D2617A S M2564B 850701-851A 852A 850A 857A 856A 853A 802A 809C New ID-D-7-6-6-5 (204096298789)
SPTS INC Quartz Discs PN 110865 For STS ICP (202439345121)
EETOOLS TOPMAX TM-A48 Universal Programmer (204119238037)
Silicon Carbide Boat , SiC Boat, CVD 795084-1 ,For 6 Inch, Furnace Paddle, New (203959502351)
WS2107FL-7 Boxer Fan Volts 115/230 A.C AMPS .24/.12 50/60 HzImpedance Protected (202311713041)
Valve With NUPRO SS-4BK V13-1C For ASM PECVD Advanced Semiconductor Materials (204699608932)
MIL-B-81705C TYPE I Gallium Phosphide Wafer 2 Inch (202288207038)
VEXTA PH266L-33-A1 Stepping Motor For Tegal 901e Tegal 903e DS-2-12-001 (203055997792)
PN: 2451-0013-01 QIR208-1500SS IR Lamps For AG Associates Heatpulse 4108 8108 (202304535537)
MC1458CP1 For TEGAL 901E 903E (203137010861)
NM49AB LM566CN For TEGAL 901E 903E (203136998650)
TL084 Quad FET-Input Op-Amp Surplus spares parts in stock (203709403387)
GAL16V8 Surplus spares parts in stock (203709394334)
ST 001 Printer Paper P/N#2920-000008 (202754946840)
767163512G CTS9741 Surplus spares parts in stock (203709440800)
Varian 3180 Cover/shield P/N 3180043 (202754715651)
2502-0040 For AG Associates Heatpulse (202484556558)
Inficon IC6000 Controller ID-DW2-4-002 (204136984892)
Tegal 915 RF Matching With 5623301 99-214-003RW For Plasma Barrel Etcher Asher (203321526931)
80-095-278 RW 3Tegal 901e Tegal 903e Main Control Board PCB AWM-G-5-1-005 (202960854172)
Pomona 4243-0 Double Binding Post For 0.063” To 0.250” Panel (202311744649)
Metal Carrier 1mm Thickness Total ,300um About Depth 4 Inch Wafer Carrier (202753553290)
VEXTA PH268-23 Stepping Motor For Tegal 901e Tegal 903e DS-2-10/11-001 (203056001084)
40-215-001 Tegal Spare Part (202949262665)
PN 7100-5123-02 AG Associates Heatpulse PCB (202291235353)
PWB 110494-005 for Lam Research Lam AutoEtch PN 810-001314-002 (?) (204117829902)
0992-40375 Electrode Full mesh Groud, for Matrix 103,106,105,205,108, 206 plasma (202765200461)
Quartz Boat 6 inch (202290405074)
2100-0120 MIO-24 AG Associates Heatpulse PCB (202295880044)
99-181-002 REV L EPD-2 Tegal PCB ENDPOINT DETECTOR (202283871997)
SPTS Inc DT304896 For STS ICP, Screw Vented CSK M4x6mm (202439339391)
Quartz Tube Quartz Adaptor For Branson/IPC 3000, 2000, 3100, 3001s, 4000 (202940245777)
Duniway Stockroom Corp Terranova Model 809 Diaphragm Gauge Controller Terra-809 (202451421054)
PN 7100-2586-03 AG Associates Heatpulse PCB (202290666670)
AG Associates 2100-0120 A STD MIO-24 For Heatpulse 4100,4100S, 4108,8108,8800, (203176285878)
PN 2100-0080 AG Associates Heatpulse PCB (202295947618)
7100-1091-01 ( FLUKE 1780A ) FLUKE, MOD (W/O FEET) AG Associates Heatpulse (202300594652)
7400-0136-01 Quartz Baffle For Heatpulse 8108 AG Associates Metron ,Not EZ-DTC (202288101100)
DM7407N , ID-D-7-5-4-2 , chips Components (204102692163)
DM74S288N, ID-D-7-5-6-6 , Tegal? Chips Components (204102627566)
Western 665, CGA 660, 670 Washer” AWM-F-5-5-019 (203014818535)
Emscope SC-650 Series Coater Sputter for SEM, 4 Of 1.5 Inch Cathode (203215096839)
SRS Stanford Research Systems Inc Residual Gas Analyzer High Vacuum Component (202499238281)
CD40103BE, ID-D-7-6-2-9, Tegal? Chips Components (204102572023)
CD40106BE , ID-D-7-6-2-8,Tegal? Chips Components (204102573503)
6 Inch Teflon PFA FLUOROWARE AI82-60MU A182-60MU ? PFA Wafer Cassette (202748406476)
MKS Baratron 122AA-00010AB From Tegal 901e 903e Plasma Etcher ID-DV-2-10-003 (203944639565)
MC6821P For Tegal PCB (202784783766)
PN: 221-416-750A Perkin Elmer, Ultek Vacuum Parts, Inserts, Nipple Tube (202283066108)
SPTS Inc PN 112021 RF Connector Spacer For STS ICP (202439463664)
Temescal 0620-7612-0 Cable , EBC Indexer DR For Thin Film Deposition Equipment (202499427049)
Matrix 105 Matrix 205 Matrix 106 Matrix 303 Matrix 403 Matrix Monitor Display (202309890067)
12 Inch Si Wafer With 8 Inch Pocket (203199978303)
0992-70051 ELECTRODE, SILVER, POSITIVE for Matrix 103,106,105,205,108, 206 (202771124554)
600-AKV-L ARAMID / FIBERGLASS BLEND 19 oz Aluminized Para Aramid Blend RN 104083 (202447144432)
For Tegal Equipment PN TGL-80-127-183 (202300755753)
For Tegal Equipment PN TGL-80-127-321 (202300758421)
For Tegal Equipment PN TGL-80-127-273 (202300757958)
For Tegal Equipment PN TGL-80-163-004 (202300764001)
For Tegal Equipment PN TGL-80-127-167 (202300755116)
For Tegal Equipment PN TGL-80-127-350 (202300760191)
AG Associates (Metron Technology) 7100-5995-02B, Tray, Ceramic Shield, 6 inch (201545850628)
Lam Research 716-140141-261 C Si Collard W/Step , New (203015565660)
Chuck For Canon 501 F Mask Aligner? (202754937351)
Brooks Equipe PRI PRE-300 series pre-aligners (204172017846)
2500-0003-01 For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN (203136711925)
PN TGL-39-689-001 Tegal Spare Parts For Tegal Plasma Etcher (202308037438)
7200-0945-01 w/ 7200-0982-03 D Robot Effector Robot ARM AG Associates Heatpulse (203734914598)
STS SPTS ICP RIE PECVD DRIE cluster RF maching ,chuck 300W RF generator DP-1-001 (204300039826)
teflon fittings swagelok (202498270594)
4 Inch Teflon Cassette PFA FLUOROWARE AI82-39MLBY A182-39MLBY (202748403482)
activate whohou store embed ebay listings into website